Perpustakaan yang ditulis dalam Verilog

xfcp

Platform kontrol FPGA yang dapat diperluas.
  • 44
  • MIT

zbasic

Sistem ZipCPU dasar, dasar, yang dirancang untuk pengujian dan integrasi cepat ke dalam sistem baru.
  • 38

interpolation

Teknik Interpolasi Digital yang Diterapkan pada Pemrosesan Sinyal Digital.
  • 37

Verilog_Calculator_Matrix_Multiplication

Ini adalah proyek sederhana yang menunjukkan cara mengalikan dua matriks 3x3 di Verilog..
  • 37
  • Mozilla Public License 2.0

NTHU-ICLAB

清華大學 | 積體電路設計實驗 (IC LAB) | 110上.
  • 34

MiSTery

Inti Atari ST/STe untuk FPGA.
  • 30

demo-projects

Proyek demo untuk berbagai papan FPGA Kintex (oleh openXC7).
  • 30
  • BSD 3-clause "New" or "Revised"

Arcade-TMNT_MiSTer

Teenage Mutant Ninja Turtles dari Konami untuk platform MiSTer FPGA.
  • 29
  • GNU General Public License v3.0 only

MiSTerFPGA_YC_Encoder

Semua pekerjaan dirilis ke YC / NTSC & PAL Encoder untuk MiSTerFPGA.
  • 29
  • MIT

fftdemo

Demonstrasi yang menunjukkan bagaimana beberapa komponen dapat disusun untuk membuat simulasi spektogram.
  • 28

neorv32-verilog

♻️ Ubah prosesor NEORV32 menjadi modul netlist Verilog polos yang dapat disintesis menggunakan GHDL..
  • 28
  • BSD 3-clause "New" or "Revised"

a2o

Inti A2O adalah tindak lanjut dari A2I, ditulis dalam Verilog, dan mendukung jumlah utas yang lebih rendah daripada A2I, tetapi kinerja per utas lebih tinggi, menggunakan eksekusi yang tidak berurutan (penggantian nama register, stasiun reservasi, buffer penyelesaian) dan penyimpanan antre. Sekarang sedang diperbarui untuk kepatuhan dan integrasi ke dalam proyek terbuka. (oleh OpenPOWERFoundation).
  • 27
  • GNU General Public License v3.0

FPGA_RealTime_and_Static_Sobel_Edge_Detection

Implementasi pipeline Sobel Edge Detection pada kamera OV7670 dan pada gambar diam.
  • 27
  • MIT

dbgbus

Kumpulan bus debug dikembangkan dan disajikan di zipcpu.com.
  • 27

jt89

sn76489an inti Verilog yang kompatibel, dengan penekanan pada implementasi FPGA dan kompatibilitas Megadrive/Master System.
  • 26
  • GNU General Public License v3.0 only

gateware

Submodul IP, diformat untuk integrasi CI yang lebih mudah.
  • 24
  • GNU General Public License v3.0

boxlambda

Kotak pasir komputer mikro berbasis FPGA untuk eksperimen perangkat lunak dan RTL.
  • 24
  • MIT

psram-tang-nano-9k

Pengontrol PSRAM/HyperRAM open source untuk Sipeed Tang Nano 9K / Gowin GW1NR-LV9QN88PC6/15 FPGA.
  • 24
  • Apache License 2.0

CPLD-Guide

Panduan Perangkat Logika yang Dapat Diprogram Kompleks (CPLD).
  • 21

FPGA_OV7670_Camera_Interface

Streaming kamera OV7670 secara real-time melalui VGA dengan resolusi 640x480 pada 30fps.
  • 21
  • MIT

Rosebud

Framework untuk Pengembangan Middlebox yang dipercepat FPGA.
  • 20
  • MIT

color3

Informasi tentang papan HDMI FPGA eeColor Color3.
  • 19
  • MIT

RISC-V

Implementasi desain Inti RV32I di Verilog HDL dengan ekstensi Zicsr.
  • 19
  • MIT

ice40_power

Analisis daya perangkat ICE40UP5K-SG48.
  • 18
  • MIT

arrowzip

Demonstrasi berbasis ZipCPU dari papan MAX1000 FPGA.
  • 17

icozip

Port demonstrasi ZipCPU untuk icoboard.
  • 16

caravel_fulgor_opamp

Uji Chip Tujuan Umum OpAmp menggunakan Skywater SKY130 PDK.
  • 15
  • Apache License 2.0

FusionConverter

File desain untuk konverter NeoGeo MVS ke AES perangkat keras terbuka.
  • 15
  • GNU General Public License v3.0 only

dyract

Repositori Sumber Terbuka DyRACT.
  • 14

cia-verilog

Implementasi 8250 Kompleks Interface Adapter (CIA) di Verilog.
  • 14